数字集成电路设计(国产EDA披荆斩棘乘风崛起)

一、EDA:集成电路专用设计软件,为不可或缺核心环节1、EDA 的重要性:集成电路产业中不可替代首环EDA 是一种设计软件,主要应用于电子设计领域,具备设计、布线、仿真和验证等功能。简单地理解,EDA (Electronic Desig

一、EDA:集成电路专用设计软件,为不可或缺核心环节

1、EDA 的重要性:集成电路产业中不可替代首环

EDA 是一种设计软件,主要应用于电子设计领域,具备设计、布线、仿真和验证等功能。简单地理解,EDA (Electronic Design Automation)就是专门用来设计芯片的软件,又因为芯片在实际生产环节的试错成本过高, 所以要求 EDA 也具备强悍、专业的仿真和验证能力,从而提高芯片流片甚至生产环节的成功率。EDA 广泛应用 于芯片的设计、制造、封测、封装等多个环节,承担着电路设计、电路验证和性能分析等多项芯片开发过程中的核心工作;同时,EDA 软件的功能复杂程度,也决定了 EDA 是一种综合了多学科知识的高精尖软件,需要融合 图形学、计算数学、微电子学,拓扑逻辑学、材料学及人工智能等多领域技术,具备很高的进入壁垒。

设计是芯片产业的第一环,缺少 EDA 就难以进行芯片的设计、研发和生产。从集成电路产业链上来看,EDA 属 于集成电路产业链上游:芯片设计厂商需要向上游采购 EDA 软件产品,用于芯片的设计和仿真/测试环节,而部 门芯片制造厂商和封测厂商也有采购 EDA 软件的需求,主要用于相应部分的测试和仿真。

从芯片生产环节上来 看,EDA 是芯片生产的第一环:在芯片实际生产过程中,首先需要通过 EDA 软件做芯片设计和仿真测试,之后 才能进行流片和投产,EDA 软件是芯片产业中不可以跳过的第一环,只有当 EDA 完成芯片设计和仿真测试之 后,后续环节才能依次开展。

从技术难度上来看,EDA 的门槛高、难度大,不存在替代品:现代化芯片产业是纳 米量级的设计和制造,早已不能由几个世纪之前手绘的方式来完成芯片设计,而 CAD/CAE 等通用性的设计软件 也不能满足芯片产业的专业性要求,缺少了 EDA 软件的话整个芯片产业都将难以为继。EDA 在芯片产业中位置 举足轻重,没有 EDA 就没有现代芯片产业可言,与此同时,一款优秀的 EDA 软件能在大幅提升芯片研发效率的 同时降低芯片制造和封测成本,能够显著推动芯片行业的发展。

EDA 作为集成电路领域的上游基础工具,贯穿于集成电路设计、制造、封测等环节,是集成电路产业的战略基 础支柱之一,全球 EDA 市场规模超 70 亿美元,撬动规模超 3600 亿的集成电路市场,产生的间接效益巨大。全 球 EDA 市场规模稳步增长,据赛迪智库数据,2018-2020 年全球 EDA 市场规模由 62.2 亿美元增长至 72.3 亿 美元,年复合增长率达到 7.81%。EDA 作为集成电路产业的第一环,所产生的间接效益远超现有的自身市场规 模,从市场规模数据来看,EDA 产业能撬动 60-70 倍的集成电路行业产值,支撑了超 3600 亿美元的集成电路市 场,并间接支撑了数十万亿的数字经济。EDA 处于自身产业中倒金字塔尖的位置,EDA 出现问题将会引起巨大 的间接经济损失。

数字集成电路设计(国产EDA披荆斩棘乘风崛起)

我国 EDA 市场发展速度远超全球水平,所起到对杠杆效应更大,未来国内 EDA 产业有望加速发展。2018-2020 年,国内 EDA 行业年均增速达 21.42%,远超全球 EDA 行业平均增速;另一方面,国内 EDA 行业相较于集成 电路市场规模所产生的杠杆效应达到 130-150 倍,也远超全球 60-70 倍杠杆效应的平均水平。在国内 EDA 行业 高增速和高杠杆效应的“双高”背后,反映出 EDA 依旧是国内集成电路行业的短板,大力发展 EDA 行业、追赶世 界平均水准是国内集成电路行业健康发展的必经之路;同时,我们也认为受益于发展 EDA 行业的必要性和紧迫 性,未来 EDA 行业将维持高景气度,发展势头不减。

2、EDA 发展历程:由通用型设计软件向专业性设计软件演变

EDA 工具伴随着集成电路的发展而进步,纵观集成电路设计工具的发展史,一直在向功能丰富化、设计集成化 和操作简单化的方向发展。电路设计工具从设计的维度上经历了“手工设计->计算机设计->自动化专业性计算机 设计”的发展历程,从验证的维度上经历了“实物验证->计算机仿真”的发展历程,从语言的维度上经历了“实物设 计->原理图->逻辑语言”的发展历程。总而言之,电路设计工具大致能够划分为 CAD 辅助设计、CAD/CAE 辅助 设计、EDA 辅助设计三个阶段。

1)CAD 阶段:最初,工程师采用手工的方式进行电子系统硬件设计,即把中小规模的标准集成电路焊接在电路 板上,做成初级电子系统,并在 PCB 上对电子系统进行调试。20 世纪 70 年代左右,一方面由于计算机技术的 进步,另一方面由于传统手工布图的精度无法满足产品复杂性要求,工程师转而借助 CAD 工具进行电子系统硬 件设计;CAD 的出现为电子系统设计节省了大量的重复性劳动,大大提升了电子系统硬件设计的效率与精度, 其中最具代表性的产品是美国 ACCEL 公司开发的 Tango 布线工具。

2)CAE 阶段:继 CAD 工具被应用于电子系统设计之后,20 世纪 80 年代,CAE 工具也被应用于电子系统设计 中,主要解决的是模拟/仿真问题。CAD 工具的出现解决了设计工作中的绘图问题,但是电子系统的仿真和验证 问题依旧存在,最初的实物验证方式试错成本太高,使设计师在进行设计工作时变得格外谨慎,也变相降低了电 子系统设计工作的效率。CAE 工具出现的核心意义在于解决了电子系统设计中的仿真和验证问题,实现了计算 机能够进行电子系统设计的仿真过程,使设计师能够在计算机上近乎零试错成本地无限进行电子系统验证工作; 另一方面,CAE 也提供了定时分析、自动布局布线等功能,进一步提升了电子系统设计工作的效率,能够进行 设计描述、综合与优化和设计结果验证等工作,为高级设计人员的创造性劳动提供了方便。

3)EDA 阶段:EDA 工具的意义可以从两个角度来理解,一个是工具的进步,另一个是设计语言的进步。从工具 角度来看,伴随着微电子技术的快速发展,硬件设计需求从单一电子产品开发转向了以集成电路为代表的的系统 级电子产品开发,传统的 CAD/CAE 工具难以满足集成电路中复杂而专业性的新需求;而 EDA 作为针对集成电 路设计的专业性软件,拥有系统设计、系统仿真、测试验证、系统划分与指标分配、系统决策与文件生成等一整 套的电子系统设计自动化工具,能够满足中大型集成电路设计的需求,所以 EDA 工具逐步替代了传统的 CAD/CAE 设计工具,成为专业的集成电路设计工具。

从芯片描述层级的角度来看,EDA 设计语言在电子设计中也具备里程碑式的意义,EDA 设计语言的出现使大规模集成电路设计成为现实:在传统的 CAD/CAE 工具阶段,具体化的元件图形语言一直是困扰设计师的难题之 一,而 EDA 软件通过行为级描述(关于 EDA 语言的问题,将会在下一小节中详述)的方式完美解决了这个难 题,对上增加了程序的可读性和可便携性,对下能够更好地与硬件进行适配,更进一步地提升了设计效率。

3、EDA 设计流程:从描述层级理解 EDA 软件

集成电路设计是一个从需求出发,最终形成物理版图的过程,验证与仿真工作穿插于各环节中。以数字集成电路 设计为例,在设计师拿到具体需求之后,首先根据具体需求定义相关的功能模块与规格,从架构层面上设计能满 足特定需求的功能,之后实现相应的设计;其次进行逻辑综合,这一阶段主要是将更高层级的描述转化为门级网 表,之后再进行 DFT 测试并进行物理层面的设计,在工艺、功耗等环节签核完毕之后,EDA 的设计工作基本已 经完成,可以进入具体的封装与测试阶段。

从描述层级角度来看,EDA 将芯片设计工作自上而下地抽象为设计思路、行为级描述、RTL 描述、门级网表和 物理版图五个层级。在具体的集成电路设计工作中,设计师首先发现需求、形成设计思路,并出具需求说明书和 设计方案;第二,工程师根据需求说明和设计方案,对芯片设计工作进行行为级别的描述,并编写相应的程序; 第三,EDA 根据行为级描述,进行寄存器级别的描述;第四,EDA 进一步进行门级别的描述,并生成相应的门 级网表;最后,EDA 生成物理版图,对集成电路的物理情况给出直观、详细的描述。

下面,我们分别对行为级描述、RTL 描述和门级网表给出更详细的解释。首先解释一下行为级描述与 RTL 描述 的区别:行为级描述可以理解为面向用户的描述方式,RTL 描述可以理解为面向硬件的描述方式。实际上,在 EDA 初期阶段,是没有“行为级描述”和“RTL 描述”这类划分的,彼时的设计师会直接进行电子系统硬件设 计;但是,这种设计方式有一个明显缺陷,那就是元器件的形状和特征各异,设计师在进行电子系统硬件设计的 时候就不得不考虑各种非常复杂的实际情况,使设计工作变得异常繁琐。

于是,设计师开始尝试将设计逻辑与物 理情况分离开,能够在不考虑实际情况的基础上对设计逻辑进行抽象的描述,所以才有了“行为级描述”和“RTL 描述”的划分——“行为级描述”是设计师层面的描述,设计师编写好相应的设计程序(一般是文本语言或状态 图语言);“RTL 描述”是“行为级描述”的下一个层级,负责在寄存器级别描述电路的数据流方式。另外,门 级网表是 RTL 描述的下一个阶段,主要负责将 RTL 描述中寄存器级别的描述,进一步翻译成门(或者与门同一 级别的元件)级别的描述,从而进一步精准、具体地在物理层面上落实设计师所编写的设计程序。

进一步,更一般地对抽象层级描述,对集成电路设计的描述可以从行为、结构和物理三个维度展开。无论是数字 芯片设计还是模拟芯片设计,集成电路设计都可以自上而下地划分为“系统层”、“算法层”、“RTL 层”、“物 理逻辑层”和“电路层”。在行为描述中,系统设计过程可以描述成首先进行产品性能和行为的设计,其次编写 面向用户的基于逻辑语言的程序,其次将面向用户的程序翻译为面向寄存器的程序,最后进一步转换成布尔方程 组和电脑微分方程;在结构描述中,集成电路设计工作从 CPU、存储器的整体出发,一步步具象成硬件模块、 寄存器/控制器等部件、逻辑口,最后具体到每一个电容/电阻/晶体管的设计;在物理描述中,设计师首先给出芯 片的整体设计方案,然后在算法层面定义模块之间的连接关系,再进一步定义宏单元和门级单元图,最终形成对 应的物理版图。

除了从描述层级的角度上对 EDA 设计进行理解之外,也可以从工作内容的角度上对 EDA 设计流程进行划分。 从设计流程的角度来看,集成电路设计工作主要分为前端和后端两大部分,仿真验证的过程穿插于前端和后端 之中。总体来看,前端设计更偏向于逻辑,需要通过逻辑库的支持,基于速度、功耗等指标,给出设计的规格、 HDL 代码及 DFT 实现等步骤;而后端设计更偏向于行业经验与物理层,通过物理库的支持,主要实现一系列的布局布线工作,并完成多个环节的验证和仿真工作。

前端设计和验证实现了电路设计的实体化:在数字电路中,前端设计使用基于 RTL 语言描述的程序将设计思路 转换为相应的标准单元库网表;在模拟电路中,前端设计将设计思路落实成电路图设计。后端设计和验证过程主 要是将设计进一步落实成版图:在数字电路中,EDA 进一步将标准单元库网表进行布局布线;而在模拟电路中, 工程师通常会手动将电路图转化为版图。

二、多因素重塑 EDA 行业底层逻辑,传统 EDA 行业格局或将生变

1、传统 EDA 行业呈寡头垄断格局,行业壁垒高筑

全球 EDA 市场份额集中,Synopsys/Cadence/Simens EDA 三巨头占据近八成市场份额。目前,全球 EDA 市 场被 Synopsys、Cadence 和 Simens EDA 所主导,其中 Synopsys 和 Cadence 是美国企业,Simens EDA 原 名 Mentor Graphic,于 2016 年被西门子(德国企业)所收购。据赛迪智库统计数据显示,2018-2020 年间, Synopsys/Cadence/Simens EDA 三巨头占据全球 EDA 市场份额分别为 77.1%/77.4%/77.7%,垄断地位稳固; 另外,Ansys 也占据一定比例的市场份额,隶属 EDA 市场中的第二梯队。

我国 EDA 市场目前仍以外资品牌为主,与全球 EDA 市场格局相似,为 Synopsys/Cadence/Simens EDA 所 垄断,但是近年来所占市场份额呈现小幅下滑。我国的 EDA 行业起步晚,并经历了从二十世纪末到二十一世纪 初近 15 年的缓慢发展阶段,与全球 EDA 巨头之间存在显著的差距。目前我国 EDA 市场仍然以外资品牌为主, 与全球市场相似,基本被 Synopsys/Cadence/Simens EDA 三家外资巨头所垄断。但是,伴随着近年来国家层面 对 EDA 重视度的提升,国内 EDA 厂商奋起直追,并初步取得了一定的成绩。据赛迪智库统计数据显示, Synopsys/Cadence/Simens EDA 三家外资巨头 2018-2020 年在我国的合计市场份额为 79.7%/79%/76.4%,呈 现小幅下滑的态势,国产 EDA 有望崛起。

数字集成电路设计(国产EDA披荆斩棘乘风崛起)

Synopsys/Cadence/Simens EDA 在电子设计领域实力强劲,各自依托自身优势展开差异化竞争。Synopsys/ Cadence/Simens EDA 均具备覆盖电子设计全部流程的能力,而其他 EDA 公司尚未具备覆盖电子设计全流程 的能力,多在工具层面发力。另一方面,Synopsys/Cadence/Simens EDA 三家公司的偏重点也有所不同:Syn opsys 的逻辑综合工具 DC 和时序分析工具 PT 的性能优越,公司依托这两个拳头产品建立了具备强劲竞争优势 的芯片设计数字化流程,DC 和 PT 产品在相应市场上占据绝大部分份额;Cadence 的竞争优势集中在模拟电 路、数模混合、版图设计等方面,其电子设计能力覆盖从半导体到电路板乃至整个系统系统;Simens EDA 的 核心优势是软硬件耦合,在物理验证、PCB 解决方案、布局布线工具、DFT 等领域具备优势。

EDA 是典型的高精尖行业,准入门槛极高。 EDA 是一个准入门槛极高的领域,虚拟仿真阶段的任何一个小错 误都可能造成流片失败,甚至可能导致芯片公司丧失核心竞争优势。EDA 的难点主要具体体现在三个方面:一 是需要将复杂的物理问题用数据模型高度精准化地描述,在虚拟软件中重现芯片制造过程中的各种物理效应和 问题;二是在确保逻辑功能正确的前提下,利用数学工具解决多目标多约束的最优化问题,求得特定半导体工艺 条件下,性能、功耗、面积、电气特性、成本等的最优解;三是验证模型一致性问题,确保芯片在多个设计环节 的迭代中逻辑功能一致。

高研发投入构建核心技术壁垒。EDA 作为高精尖技术,离不开高额的研发投入,从全球 EDA 巨头的历史数据来 看,高研发投入是维持 EDA 厂商核心竞争力的重要因素之一。Synopsys 和 Cadence 的研发投入逐年攀升,研 发费用率一直维持在 35%-40%的高水平上,其中在 FY20,Synopsys 和 Cadence 的研发投入分别是 12.79 亿 美元/10.34 亿美元,当年研发费用率高达 34.71%/38.54%。

数字集成电路设计(国产EDA披荆斩棘乘风崛起)

与下游代工厂高度绑定的生态优势是 EDA 巨头的核心竞争力之一。全球 EDA 巨头每年高额的研发费用多用于 PDK(Process Design Kit,工艺设计套件,包含了诸如晶体管、MOS 管、电阻电容等基础器件或反向器、与 非门、或非门、锁存器、寄存器等逻辑单元的基本特征信息)的更新,而新工艺与验证文件频繁更新的背后,离 不开 EDA 厂商与芯片设计厂、芯片代工厂的深度绑定。芯片行业是一个技术密集、迭代速度快的行业,只有头 部 EDA 厂商具备开发最新的验证平台的能力,第二梯队 EDA 玩家与头部 EDA 玩家在领先技术方面之间一直存 在较长的时间差;在这种情况下,顶尖芯片设计厂/代工厂只能选择头部 EDA 厂商进行合作,而顶尖芯片设计厂 /代工厂又会将最先进的工艺节点上的需求与数据反馈给头部 EDA 厂商,头部 EDA 厂商据此进步一部完善 EDA 平台、扩充 IP 库,加固自身的核心竞争力。

人才是推动 EDA 行业进步和发展的核心因素。EDA 行业是轻资产、重研发的科技密集型的行业,这就决定了人 才是 EDA 的第一推动力,必须经过高精尖人才的不断科研与创新,才能推动 EDA 行业的持续发展。因为 EDA 是一种跨学科的专业领域,所以 EDA 行业需要高素质的复合型人才,开发 EDA 软件的工程师不仅需要传统计 算机科学的基础知识,如算法、数据结构、编译原理等,更需要 EDA 领域的一些特定知识;而 EDA 工具的复杂 性和开发难度导致了其对人才质量的高要求,导致了 EDA 行业人才相较于其他行业显得培养周期十分漫长。与 此同时,人才又跟 EDA 厂商的盈利能力呈现高度的相关性,从 2017-2020 年 Synopsys 和 Cadence 的历史数 据来看,伴随着公司人才入团队的扩张与职工薪酬的提升,公司业绩往往会呈现出加速增长的态势,印证了人才 作为 EDA 行业的第一生产力,对于推动行业发展有着至关重要的作用。

数字集成电路设计(国产EDA披荆斩棘乘风崛起)

2、EDA 的行业新动向或将打破原来巨头垄断的固有格局

工艺迭代速度放缓削减了头部 EDA 厂商的核心优势,EDA 行业竞争格局开始松动。在过去 20 年间,工艺渐进 式迭代方式所带来的红利逐步缩小: 2001 年芯片制程工艺尚停留在 130 纳米,2004 年奔腾 4 突破了 90 纳米 工艺,2012 年芯片制程工艺发展到 22 纳米,英特尔,联电,联发科,格芯,台积电,三星等多家公司均具备了 22 纳米工艺的技术水准;此后,芯片制程发展速度放缓,工艺难度增加,2015 年芯片工艺制程进入 14 纳米, 联电遇到瓶颈,2017 年芯片工艺制程进入 10 纳米,英特尔遇到瓶颈,2018 年芯片制程进入 7 纳米,只有台积 电和三星具备了代工 7 纳米芯片的能力。此外,传统的 EDA 行业还面临着全新的挑战:例如更多细分场景的出 现使 EDA 不能满足相应的需求;验证工作进一步复杂,传统的单机算力承担验证工作的难度提升;IP 复用价值 被其余环节削弱,不能得到充分发挥 IP 价值;EDA 开放性不足,影响了 EDA 自动化与智能化发展等。

EDA 行业的新机遇在较大程度上重塑了 EDA 行业核心竞争力,在新赛道上拉近了 EDA 头部玩家与其余玩家之 间的差距,原来的固化 EDA 格局出现松动迹象,行业洗牌或将为中小 EDA 厂商带来赶超机会。我们认为,在 当前科技不断进步、新兴领域崛起的全球大背景下,EDA 行业即将面临全新的机遇与变化,并主要体现在以下 三个方面:1)下游行业要求 EDA 前端进行全新变化,并具体提出了设计异构化、设计敏捷化、芯片与算法融合 等需求;2)人工智能技术蓬勃兴起,EDA 有望与人工智能技术充分结合,推动自动化 IP 生成技术的发展,并 催生智能化芯片架构设计、设计生成与物理设计等全新技术;3)伴随着 EDA 设计、仿真与验证的复杂性提升, EDA 对算力的要求进一步提升,云计算有望未来为 EDA 设计、仿真与验证提供算力,打造 EDA 与云计算平台 结合的新业态。

EDA 前端相较于后端而言,前端更偏重逻辑,后端更偏重于行业经验与物理规律,所以前端的时效性要求比后 端更强,近年来 EDA 前端也正在经历深刻的变化。从设计异构化角度来看,伴随着各个应用的专业化程度提升, 传统的通用性平台不能充分发挥在专业应用性能,所以需要针对不用的应用设计相应电路,并通过异构的方式进 行集成;从敏捷化设计角度来看,传统的设计方式不能满足快速变化的新应用场景,EDA 设计需要转向敏捷化 设计,在较短时间内完成设计并进行不断迭代;从芯片与算法融合角度来看,人工智能推动了底层电路与上层算 法之间的协同和联动,传统的将算法与芯片分离开的方式难以应用于人工智能的垂直场景,EDA 前端设计需要 在先前的基础上进一步考虑芯片设计与算法层的强耦合性,增强整体的协同效应。

人工智能推动了自动 IP 生成技术的进步,从 EDA 设计和验证平台两个方面促进了 EDA 软件的自动化和智能化 发展。在常规的 IP 设计过程中,数字 IP 的设计需要首先定义 IP 的功能、接口和架构,然后细化到微架构设计 (RTL 定义和模块划分等),最后映射到具体的电路设计;模拟 IP 设计则首先需要定义模块的性能指标,之后 通过仔细调整电路/版图并进行多次仿真,最终得到模拟 IP 设计。人工智能推动了 HLS(high-level synthesis, 高阶综合技术)的发展,通常能够基于 C/C++等高级计算机语言的输入得到等价的 RTL/门级网表/GDS 等输出, 从而推动数字 IP 的自动生成技术;而在模拟 IP 自动生成领域,设计师有望借助机器学习等手段,使软件能够调 优晶体管参数和自动生成版图,提升芯片设计工作的效率。另一方面,人工智能推动了 EDA 的验证和仿真环节 发生变化,传统的验证方法就是要测试规则、架构和规范等,而在当前面临更多垂直应用的,所以需要通过仿真 出来一个虚拟 AI 引擎,把算法数据推送到硬件仿真系统中的 AI 引擎上执行代码的处理和用户最终的应用,以便 获得整体的性能、功耗以及数据,这样可以在芯片未开发之时,就可以及早理解整个系统的性能表现。

强化学习已经在实验室中成功应用于芯片设计,人工智能有望重塑 EDA 行业。强化学习作为人工智能的一种模 型,在围棋、电脑游戏等场景下已经获得了良好的成绩,最近麻省理工学院电子工程和计算机科学助理教授 Song Han 表示强化学习在改进芯片设计方面具有巨大潜力,并进行了基于强化学习的将一种芯片学到的知识转移到 另一种芯片上的实验,实验结果表明人工智能工具产生的电路设计的能效是人类工程师设计的电路设计的 2.3 倍,同时产生的干扰是人类工程师设计的电路设计的五分之一。同时,谷歌也进行了使用强化学习训练芯片设计 的实验,根据谷歌公布的结果,经过预训练的模型在执行目标芯片的floorplan 时,可以在6 小时内完成floorplan, 而其 floorplan 结果在时序、面积、功耗等关键指标上都与专业物理设计工程师手工 floorplan 的结果接近或更好。 人工智能的发展使得芯片设计有了大幅提升的可能性,有望帮助企业在更短的时间内设计出更强大、更有效的芯 片,并有望帮助工程师共同设计人工智能软件,通过对代码和不同的电路布局进行不同的调整,以找到两者的最 佳配置。

从工具和 IP 集合包向 EDA 整体平台转变,从本地计算向云端计算迁移,是未来 EDA 发展的趋势。伴随芯片制 程的减小与芯片性能的提升,EDA 设计变得更加复杂,对算力的需求进一步提高,在本地算力无法满足大规模、 高精度的 EDA 设计的情况下,云计算平台为 EDA 提供了可行的解决方案;但同时云计算平台也要求 EDA 采用 适合云平台的软件架构,倒逼 EDA 进行变革。另一方面,EDA 也需要由传统的工具和 IP 集合包向整体 EDA 平 台迈进,提供整套的集成电路设计服务于方案,并能提供支持开放数据的灵活服务。

3、后摩尔时代将重塑 EDA 价值量

后摩尔时代的集成电路设计难度提升,EDA 行业壁垒进一步变高,EDA 工具价值量增厚。在摩尔定律的驱动之 下,过去几十年间芯片制程工艺不断减小,目前已经能实现 7nm 芯片量产;伴随芯片制程工艺的不断突破,芯 片制程工艺已经逐步逼近极限(通常认为 2-3nm 是芯片的极限),后摩尔时代即将到来。在无法以制程减小为 驱动力的后摩尔时代中,集成电路设计的重要性进一步凸显,成为驱动集成电路工艺迭代的重要因素,与此同时 EDA 行业的壁垒也会伴随设计复杂度的提升而提升,间接增厚 EDA 工具的价值量。

伴随着芯片设计复杂程度的提升,总硬件成本呈现指数级上升趋势。近年来随着制造工艺、面积功耗、接口引脚 数量等限制条件逐渐逼向极限,通用处理器的综合性能提高越来越缓慢,而 AI、云服务器、智能汽车、5G、工 业智能控制等不同应用领域对半导体芯片的性能要求越来越高,功耗、成本的要求越来越分化,芯片设计、验证 的成本也随之急速上升,设计制造周期也难以压缩。

集成电路设计技术的更新换代会显著降低下游厂商的设计成本,决定了 EDA 下游客户对 EDA 软件的付费能力 比较强。根据加州大学圣迭戈分校 Andrew Kahng 教授在 2013 年的推测,2011 年设计一款消费级应用处理器 芯片的成本约 4000 万美元,如果不考虑 1993 年至 2009 年的 EDA 技术进步,相关设计成本可能高达 77 亿美 元,EDA 技术进步让设计效率提升近 200 倍。EDA 软件具备强劲议价权取决于本身的不可替代性与能为下游客 户显著降本增效的能力,未来伴随着 EDA 的复杂程度和科技含量的进一步提升,EDA 软件有望价值量继续增 加、毛利率继续提升、盈利能力和抗风险能力进一步加强。

三、多重利好助推行业崛起,国产化 EDA 爆发正当时

我们认为,国产化 EDA 正在迎来加速发展的行业拐点,景气度不断攀升,主要由以下多个利好因素共同推动:1)国产替代需求:2018 年至今,在国际贸易关系紧张和国家重拾科技兴国战略的推动下,EDA 重新被列入国 家重点战略行业;2)我国国家政策大力扶持以 EDA 为代表的半导体公司,本土 EDA 迎来发展良机;3)国内半 导体产业链蓬勃发展,本土企业的忧患意识逐步增强,国内 EDA 企业与国内 Foundry 日益深度绑定、共同成长, 国内的集成电路生态迅速健全;4)高校协同模式培养未来集成电路人才,国内集成电路行业的薪资待遇进一步 具备吸引力,工程师红利推动行业快速成长;5)国内的人工智能与云计算技术领先,本土 EDA 企业有望抓住行 业机遇,实现核心技术的突破和赶超。

1、国产替代需求:我国迫切需要发展国产 EDA 工具

我国具备研发 EDA 的实力,曾在二十世纪末成功研发“熊猫”国产 EDA 软件,在沉寂了十余年之后,国产 EDA 软件再度迎来发展新时期。1986 年至 1994 年是我国早期 EDA 发展的黄金时期,1986 年我国为了发展芯片行 业,从全国 17 个单位抽调了 120 多个顶级工程师来开发国产化芯片辅助设计软件,1988 年华裔专家连永君担 任设计中心主任,1991 年开发出“熊猫”ICCAD 辅助设计系统,1993 年“熊猫系统”获得国家科技进步一等奖。“熊 猫系统”是我国早期重要的具备自主知识产权的大型 EDA 软件,其中包含着 180 万条语言和 26 个工具,并成功 借此推动我国的 ICCAD 系统开发跻身于国际四强(美、日、西欧、中国)之列。

1995 年至 2017 年,在我国经济 高速发展的大背景下,国家的战略重心向其他行业转移,对 EDA 行业的补贴红利退坡、支持力度减弱,同时海 外 EDA 迅速进入我国市场,而国内本土化 EDA 厂商的盈利能力尚弱,所以国内市场迅速被海外 EDA 三巨头占 领,国产化 EDA 经历了十余年的缓慢增长。2018 年至今,在国际贸易关系紧张和国家重拾科技兴国战略的推动 下,EDA 重新被列入国家重点战略行业,另一方面国内的集成电路产业生态日益完善、本土 EDA 厂商技术不断 突破,国内 EDA 行业有望重回高速发展新时期。

我国发展 EDA 行业具备必要性和迫切性,EDA 国产化我国集成电路行业发展的必经之路。从必要性上来看: EDA 是集成电路产业中不可缺少的一环,间接撬动了万亿规模的国内数字经济,我国想要发展高精尖制造业与 数字经济产业,EDA 是必须要发展的重要行业。从迫切性上来看,国产 EDA 已经到了需要快速发展的阶段:目 前我国的集成电路设计高度依赖欧美系的 EDA 工具,在当前国际形势动荡的大背景下,一旦欧美系 EDA 工具 断供,我国经济将蒙受难以估算的巨大损失,我国依赖高端芯片的相关制造业将举步维艰;另一方面,EDA 是 现在制约我国集成电路产业发展的短板之一(另一个是光刻机),国产 EDA 工具现在只能勉强满足深亚微米 (130nm/90nm)级别的芯片设计工作,伴随着制程的缩减国产 EDA 工具明显乏力,并比较难以进行 22nm 之 下的集成电路设计。

2、政策端支持:国家政策大力支持,本土 EDA 迎发展黄金期

国产 EDA 行业相关政策频出,政府加大政策引导力度。近年来,政府频繁出台集成电路与基础软件方面的政策: 2016 年,政府在十三五规划中指出要大力推动半导体行业的发展,并明确了我国需要在集成电路的核心技术上 取得突破,支持高端工业软件的研发;2017 年集成电路的重要程度再度提升为战略性新兴产业重点产品;2018 年连续出台政策指导工业互联网健康发展,充分重视我国的高端工业软件短板;2020 年国家政策明确指出要大 力发展集成电路设计软件,并将其纳入国家科技计划支持范围之内。未来,EDA 作为我国集成电路产业的薄弱 环节,政策面有望出台更多强力的引导政策,在 EDA 自身未形成良好盈利能力的时候进行适当补贴,推动行业 快速、健康发展。

减税补贴与资本加持共同推动 EDA 行业快速发展。国家通过减税政策、补贴与引导资金注入三个手段推动 EDA 行业快速发展,政府在将 EDA 认定为高新技术行业给予税收优惠的基础上,通过“核高基”等计划与政府大力 补贴,改善了本土 EDA 企业的现金流情况;另一方面,以国家集成电路产业投资基金为代表的基金引导社会资 本进入 EDA 行业,能够让本土 EDA 企业的更多资金流向研发。

3、国内 EDA 企业迅速追赶,核心技术持续突破

国内的集成电路生态迅速健全,本土集成电路企业忧患意识增强,国产 EDA 品牌渗透率进一步提升。在政策引 导和美国断供等多因素影响下,国内集成电路产业近年来迅速发展,涌现出一批优秀的本土集成电路公司,能够 覆盖集成电路产业的大部分环节,国内的集成电路生态环境逐步健全。同时,本土集成电路企业对大环境不确定 性的忧患意识也在提升,更加注重与本土 EDA 进行合作,例如华大九天、概伦电子等本土 EDA 公司逐步建立和 加深了与中芯国际、华虹科技等国内标杆性集成电路公司之间的合作,增进了本土 EDA本土芯片设计厂本土 Foundry 之间的生态绑定,有助于推动本土 EDA 企业的发展,未来本土 EDA 品牌在国内市场中的渗透率有望进 一步提升。

高校协同模式培养未来 EDA 工程师,国内人才红利持续推动集成电路行业快速发展。目前我国仍然是世界上劳 动力数量最多和劳动力平均素质最高的国家之一,依旧能够充分享受人才为国家科技发展带来的红利。人才是推 动 EDA 及集成电路行业发展的核心因素之一,过去我国由于在这一方面的重视程度不够高,造成了短期内国内 集成电路人才的不足,但是近年来伴随着国家对集成电路产业重视程度提高与市场回报增厚的驱动,各高校已经 越来越多地通过设立相关课程、校企联合等手段来培养未来的 EDA 工程师,国内 EDA 行业人才不足的困境未 来有望逐步得到缓解,在人才的驱动下迎来高速发展阶段。

国内集成电路行业薪酬更具吸引力,本土 EDA 企业人才数量快速增长,驱动企业营收正向增长。国内集成电路 行业目前存在较大的人才缺口,据前 程无忧 2021 年 Q1 对中国大陆地区集成电路/半导体各环节头部企业的问卷调查显示,2020 年封测企业半数以 上涨薪 20%-25%,制造企业涨薪 10%-15%的最多,设计企业涨薪 20%-25%和 30%以上的都超过了三分之一, 显著高于同期 55 个行业的毕业生薪酬。行业薪酬上涨显著带动了本土 EDA 企业人才数量的上涨,据赛迪智库 数据显示,本土 EDA 企业人才三年来上涨近三倍,2020 年国内本土 EDA 企业的人才数量在全市场 EDA 企业 人才数量中的占比提升至 45%;同时,本土 EDA 企业在人才数量上的扩张反而拉动了员工人均创收,“人才-科 研能力-盈利能力”三者呈现良性循环,正向推动本土 EDA 企业的健康发展。

数字集成电路设计(国产EDA披荆斩棘乘风崛起)

国内 EDA 公司快速追赶,在核心技术上不断取得突破。近年来国内 EDA 公司快速追赶全球 EDA 巨头,目前已 经基本具备了模拟芯片 EDA 设计工具的全覆盖,并在数字芯片 EDA 工具上积极取得突破,涌现了华大九天、概 伦电子、芯华章、广立微、芯愿景等一大批优秀的本土 EDA 企业,在部分领域上已经具备了性能良好的先进产 品,并掌握了一批相应的核心技术。

四、国内 EDA 企业:加速追赶,初具核心竞争力

国内的 EDA 企业基本能覆盖集成电路核心软件的主流工具,但是尚未出现能够实现 EDA 全流程覆盖的企业。从大类划分来看,EDA 软件主要分为数字 EDA 和模拟 EDA 两种,另外还有生产制造软件、芯片分析服务软件 等辅助性软件;进一步地,EDA 软件又能细分为前仿真、后仿真、物理验证、布局布线等多个细分功能和良率分 析、工艺仿真、芯片分析等辅助功能。目前,我国本土 EDA 企业已经基本在工具层面上实现了对 EDA 工具的全 覆盖,其中华大九天、概伦电子等企业在模拟 EDA 工具方面具备较强且较全面的优势,芯华章等企业在多个数 字 EDA 工具上实现了国产化突破,全芯制造、广立微等企业具备芯片生产制造软件,芯愿景等公司则主攻芯片 分析服务等领域。

1、华大九天:具备模拟电路设计全流程 EDA 工具

华大九天成立于 2009 年,公司是国内最早从事 EDA 研发的企业之一,多年来始终专注于 EDA 工具软件的开 发、销售及相关服务,已经成为国内规模最大、产品线最完整、综合技术实力最强的 EDA 企业之一。公司初始 团队部分成员曾参与我国第一款具有自主知识产权的全流程 EDA 系统——“熊猫 ICCAD 系统”的研发工作,具有 丰富的研发经验。自成立后,华大九天承担了“十一五”“十二五”核高基 EDA 重大专项,引领国产 EDA 的发 展。2011 年,公司研发出第一代模拟电路设计全流程 EDA 工具;2014 年,发布平板显示电路设计全流程 EDA 系统;2018 年,推出晶圆制造工程服务业务,同年获国家集成电路产业投资基金的融资支持,国家级资本助力 企业发展,国中创投、中国电子、深创投等机构跟投;2020 年,发布新一代模拟电路设计全流程 EDA 工具系统。

华大九天营收与净利润逐年增长,毛利率与费用率水平稳定。2018-2020 年间,华大九天的归母净利润水平伴随 营业收入同比增长,并在 2020 年达到 1.04 亿元/4.15 亿元,净利润率约为 25%。公司的毛利率与费用率三年间 保持稳定,其中毛利率稳定在 90%左右,销售费用率与管理费用率小幅波动,整体来看销售管理费用率向好,由 2018 年的 41.06%下滑至 2020 年的 31.57%;另外公司注重研发投入,积极突破核心技术,近三年研发费用率 为 49.67%/52.53%/44.10%,为公司长期稳定发展奠定良好的技术基础。

数字集成电路设计(国产EDA披荆斩棘乘风崛起)

概伦电子营收规模快速增长,毛利率水平稳健。概伦电子的收入规模由 2018 年的 0.52 亿元增长至 2020 年的 1.37 亿元,年复合增速达 62.31%,其中 2019 年受管理费用与研发费用拖累,公司归母净利润下落明显,2020 年恢复正常。总体来看,概伦电子依旧具备较强的盈利能力,公司产品毛利率目前稳定在 90%左右的健康水平, 也是公司核心产品具备较高科技壁垒的证明。

1)EDA 模拟电路工具是华大九天的优势业务,华大九天是我国唯一能够提供模拟电路设计全流程 EDA 工具系 统的本土 EDA 企业。该系统包括原理图编辑工具、版图编辑工具、电路仿真工具、物理验证工具、寄生参数提 取工具和可靠性分析工具等全套模拟流程,为用户提供了从电路到版图、从设计到验证的一站式完整解决方案。

2)在数字电路领域,华大九天充分考虑用户实际使用场景,创新研发出多个技术,在效率提升、数据处理准确 性以及应用便利程度上都实现了良好的突破。以时序功耗优化工具 XTop 为例,该软件采用了公司开发的层次设 计数据并行处理技术,显著提升了超大规模设计时序功耗优化的性能和容量;采用动态时序建图技术满足时序图 更新的效率特殊性要求,显著缩短了时序优化周期,提高了数字电路设计的效率;应用增量布局技术时序应对物 理变化的敏感性,满足了先进工艺复杂设计规则,保持了时序一致性。

3)华大九天提供了全球领先的全流程的平板显示电路设计系统。该系统包含平板显示电路设计器件模型提取工 具、平板显示电路设计原理图编辑工具、平板显示电路设计版图编辑工具、平板显示电路设计电路仿真工具、平 板显示电路设计物理验证工具、平板显示电路设计寄生参数提取工具和平板显示电路设计可靠性分析工具等。以 上工具被集成在统一的设计平台中,为设计师提供了一套从原理图到版图,从设计到验证的一站式解决方案,为 提高平板显示电路设计效率,保证设计质量提供了有力的工具支撑。

4)目前公司晶圆制造 EDA 工具已得到用户的广泛认可。公司针对晶圆制造厂的工艺开发和 IP 设计需求,提供 了相关的晶圆制造 EDA 工具,包括器件模型提取工具、存储器编译器开发工具、单元库特征化提取工具、单元 库/IP 质量验证工具、版图集成与分析工具以及模拟电路设计全流程 EDA 工具等,为晶圆制造厂提供了重要的技 术支撑。

2、概伦电子:存储芯片 EDA 设计方面优势显著

2010 年,概伦电子注册成立,自成立以来专注于器件建模和电路仿真领域的研发创新,目前已经在该两大方向 的 IC 制造和设计环节具备国际市场竞争力,公司支持 7nm/5nm/3nm 等先进工艺节点和 FinFET、FD-SOI 等 各类半导体工艺路线。2012 年,概伦电子推出业界首个全集成良率导向设计 EDA 工具 NanoYield。2013 年, 发布新一代并行电路仿真器产品 NanoSpice,被首个商业客户豪威科技(OVT)采用。2015 年,推出业界首个 器件和电路互动分析平台 ME-Pro,加强工艺和设计的互动。2016 年,推出 9812DX,一骑绝尘地树立新一代低 频噪声测试黄金标准。2019 年,NanoSpice 系列仿真器被多家国内领先的 IDM 和设计企业全面采用, 获得百万 美元级订单,同年,收购博达微,打造业界领先的从数据到仿真的完整 EDA 解决方案,并实现连续三年销售历 史新高。2020 年,完成数亿元的 A 轮融资,英特尔资本与兴橙资本共同领投。2021 年,申请科创板上市。

概伦电子营收规模快速增长,毛利率水平稳健。概伦电子的收入规模由 2018 年的 0.52 亿元增长至 2020 年的 1.37 亿元,年复合增速达 62.31%,其中 2019 年受管理费用与研发费用拖累,公司归母净利润下落明显,2020 年恢复正常。总体来看,概伦电子依旧具备较强的盈利能力,公司产品毛利率目前稳定在 90%左右的健康水平, 也是公司核心产品具备较高科技壁垒的证明。

数字集成电路设计(国产EDA披荆斩棘乘风崛起)

概伦电子的主要产品包含制造类 EDA 工具、设计类 EDA 工具、半导体器件测试仪器以及半导体工程服务四个 部分。各项产品及服务共同为客户提供覆盖数据测试、建模建库、电路仿真及验证、可靠性和良率分析、电路优 化等流程的 EDA 解决方案:

1)制造类 EDA 工具主要用于晶圆厂工艺平台的器件模型建模,为集成电路设计阶段提供工艺平台的关键信息, 目前该部分已经在全球范围内取得稳固的市场地位。作为该阶段电路仿真及验证的基础作为国际知名的 EDA 工 具,概伦电子的制造类 EDA 得到全球领先晶圆厂的广泛使用,包括台积电、三星电子、联电、格芯、中芯国际 等全球前十大晶圆代工厂中的九家。

2)设计类 EDA 工具主要用于设计阶段的电路仿真与验证,是整个集成电路设计流程从前端设计到后端验证的 核心 EDA 工具,已在全球存储器芯片领域取得较强的竞争优势。目前公司部分实现了对全球领先企业的替代, 得到全球领先存储器芯片厂商的广泛使用,包括三星电子、SK 海力士、美光科技等全球规模前三的存储器厂商。

3)公司的半导体器件特性测试仪器是测量半导体器件各类特性的工具,为制造类 EDA 工具提供高效精准的数 据支撑。公司的半导体器件特性测试仪器已获得全球领先集成电路制造与设计厂商、知名大学及专业研究机构等 广泛采用,能够满足晶圆厂和集成电路设计企业对测试数据多维度和高精度的要求。公司的半导体工程服务为客 户提供专业的建模和测试等服务,帮助客户更加快速、有效地使用公司产品,增加客户粘性,是公司与国际领先 集成电路企业互动的重要窗口。公司半导体工程服务所提供的模型在质量、精度、可靠性、交付周期等方面具备 较强的市场认可度,客户包括台积电、三星电子、联电、中芯国际等全球前五大晶圆厂中的四家,并覆盖了多家 国内外知名的集成电路企业。

公司管理层团队优秀,多数创始核心团队人员有在 EDA 国际龙头品牌铿腾电子工作的经验。公司的创始人兼董 事长刘志宏是香港大学电子电气工程博士,拥有近 30 年的行业经验,曾担任铿腾电子的全球副总裁一职。其他 核心管理团队均为硕博学历,并且拥有在 EDA 行业多拥有超 20 年的研发、管理及市场经验。核心技术人员马 玉涛曾任铿腾电子高级工程师、高级经理;方君曾任铿腾电子北京研发中心软件工程师;石凯曾任北京普拉普斯 电子技术有限公司高级器件工程师、高级研发经理、软件架构师。

3、广立微:拥有领先的集成电路 EDA 软件与晶圆级电性测试设备

杭州广立微电子股份有限公司成立于 2003 年 8 月,是国内领先的集成电路 EDA 软件与晶圆级电性测试设备供 应商。公司专注于芯片成品率提升和电性测试快速监控技术,是国内外多家大型集成电路制造与设计企业的重要 合作伙伴。公司利用高效测试芯片自动设计、高速电学测试和智能数据分析的全流程平台与技术方法,为集成电 路制造与设计企业实现芯片性能、成品率、稳定性的提升并加快产品上市速度。

广立微营收规模逐年扩张,净利润增长显著,公司费用率在经历初创期之后趋稳。广立微近三年营业总收入分别 为 0.31 亿元/0.66 亿元/1.24 亿元,年复合增长率高达 122.71%;公司的归母净利润也从 2019 年开始由负转正, 并于 2020 年达到 0.50 亿元的规模。伴随着广立微从初创公司的一路成长,毛利率水平趋稳,公司的管理、销售 和研发费用率小幅下滑并稳定在正常水平,伴随着后续公司经营情况转好与规模不断发展壮大,公司财务质量将 持续提升。

数字集成电路设计(国产EDA披荆斩棘乘风崛起)

公司围绕半导体性能分析与良率提升,主要提供基于测试芯片和数据分析的制造类 EDA 软件、晶圆级电性测试 设备以及与半导体成品率提升相关技术服务。EDA 软件与 IP 方面,广立微的主要产品包括 SmtCell、TCMagic、 ATCompiler、DataExp 与可寻址 IP。晶圆级电性测试设备方面,广立微推出了快速 WAT 测试设备,为客户提供 持续准确和高速的解决方案。技术服务方面,广立微根据客户的工艺节点与工艺类型,采用公司的 EDA 软件、 晶圆级电性测试设备硬件,为客户提供定制化的成品率提升服务。

SmtCell 是公司主要 EDA 软件,作为一款功能强大的参数化单元创建工具,与非参数化单元相比,可以根据不 同的实例具体设定单元内多边形的参数值,且不需要通过编写代码生成 PCell,因其方便、快捷、灵活的特性在 电路设计中被广泛使用。SmtCell 可以为芯片制造公司、设计公司及 IDMs 创建多种类型的参数化单元,用户可 以在创建

SPICE/reliability/RF/process/yield 相关的测试结构时获得至少 10 倍的效率增益。

针对各种器件/结构的电学参数测试,广立微自主研发了晶圆允收测试机(WAT Tester),以提供先进的电学测 量解决方案。WAT Tester 有能力为用户提供持续准确和高速的测试解决方案,用于快速工艺监控;特别在与广 立微可寻址测试芯片解决方案结合使用时,能够显著减少先进集成电路设计和晶圆制造过程中的电学测量测试 时间。WAT Tester 包括标准型号测试机(T4000)和并行测试机(T4100S)两种型号以满足客户对精度和速度 的要求。广立微与客户深度合作,在自动化应用、软硬件配置、机台能力等方面持续提升产品竞争力。

在技术与服务方面,ATCompiler 为可寻址测试芯片设计平台。该平台提供了一个完整的大型可寻址及划片槽内 可寻址测试芯片的设计解决方案,功能包括版图自动化生成、全芯片仿真和验证、同一平台下的设计文档和测试 程序的自动生成等。可寻址测试芯片包括了可寻址 IP 和测试结构。除此之外,Dense Array 是广立微自主创新 的超高密度测试芯片设计与芯片快速测试技术,并已经在世界领先的集成电路企业客户中得到了成功流片验证。 该技术单个测试芯片上可容纳上百万个 DUTs,每秒可测试数万个 DUTs,能够为先进半导体工艺提供工艺研发 和良率提升提供整套的测试芯片解决方案。特别适用于先进半导体技术(如 HKMG 和 FinFET 元件)的研发和 生产。

作为行业细分龙头,广立微研发优势显著。根据使用 EDA 应用环节的不同,可将 EDA 软件大致分为设计类 EDA 与制造类 EDA。前者主要用于芯片设计阶段,包括功能设计、布局布线、物理验证及仿真模拟等功能,而后者则 主要用于芯片制造阶段。全球三大 EDA 厂商 Synopsys、Cadence、Mentor Graphics 均属于设计类 EDA,占我 国 EDA 市场约 6%份额的华大九天也属于此。与之不同的是广立微的 EDA 软件相关业务,其软件产品属于制造 类 EDA,主要聚焦于芯片成品率提升,当产品芯片设计版图完成后,根据对产品芯片的分析,完成测试芯片的 测试结构、外围电路设计,生成测试芯片设计版图。目前广立微的测试机及配件业务提供的测试机主要用于 WAT 测试阶段,是国内少数具备 WAT 电性测试机供应能力的企业。经过多年的研发积累,2020 年广立微的 WAT 高 速电性测试设备实现了量产,并且获得了华虹集团、粤芯半导体等国内晶圆厂商的认可,打破了国外企业的垄断 局面,达成了在 WAT 测试设备领域的国产替代。

(0)
小多多的头像小多多创始人

相关推荐

  • 唐朝钱币名称

    在网上查了非常多材料仍是不清楚唐代货币称号这个问题,能找到本篇文章就是幸运的,本篇常识点定能处理你的迷惑。唐代时代是中国历史上货币刊行数目最多,品种最单一的时候,在唐代这个时代,有很多的货币称号,这些货币除在货泉交易中饰演了关键的脚色,还可

    2023年9月17日
  • 搞笑说说短句子笑死人 2023爆笑朋友圈句子

    1.今年不想过了,麻烦退我一岁,谢谢。2.听说女孩子说叠字很可爱?我我觉觉得得我我已已经经完完全全掌掌握握了了这这项项技技能能。3.还有八个多月我就过生日了,现在吃个蛋糕不过分吧?4大家以后还是远离我吧,我想清楚了,大家需要的是一个能

    2024年1月5日
  • 吃鸡新皮肤怎样搭配好打(吃鸡淘汰盒子皮肤怎样出现)

    大家好,欢迎来到《刺激实战教室》,我是你们的老朋友刺激哥。SS16赛季只剩最后一天了,大家还差多少分上王牌呢?俗话说,少壮不努力,老大徒生悲。此时此刻,你是不是有一种,已经快要交卷,但试卷还是一片空白的感觉呢????游戏话题:SS17

    2023年5月23日 资讯
  • 近视眼球突出(原因治疗方法及预防措施)

    近视眼球突出一、 什么是近视眼球突出?近视眼球突出是指眼球的前后径过长,眼轴过长,导致眼球的前后直径不成比例,后房容积过大,晶状体和视网膜的前后位置改变,从而使眼球发生畸形和变形,严重影响视力和生活质量。二、 近视眼球突出的原因1.

    资讯 2023年11月7日
  • 莫虞凰傅霆深免费章节阅读莫虞凰傅霆深免费全文阅读无弹窗

    独家新书《莫虞凰傅霆深免费章节阅读》是来自傅霆深最新写的一本穿越风格的小说,男女主角是莫虞凰傅霆深,书中感情线一波三折,却又顺理成章,整体阅读体验非常不错。下面看精彩试读:莫玉皇看着满桌的菜,眼里充满了苦涩。自从上次他说她做的东西像狗粮一样,她一直在努力训练自己的厨艺,以为自己能打动沈福婷,但从一开始就是白费力气……傅廷珅回来的时候,莫玉皇还在桌旁心不在焉地坐着。…

    2023年8月21日
  • x86是国产吗?,揭秘x86芯片的生产制造过程

    关键词:x86是国产吗在电脑领域中,x86是一个广为人知的词汇,它是指一种基于英特尔8086处理器架构的芯片。那么,x86芯片是国产的吗?这是一个备受争议的问题,本文将深入探讨x86芯片的生产制造过程,揭开它的神秘面纱。一、x86芯片的

    2023年10月4日
  • 感冒眼睛疼怎么办

    感冒眼睛疼,有肿胀的感觉,这多是病毒性感冒导致的种类繁多的病毒中有一种被称为腺病毒的,除可引起感冒症状外,还可侵犯眼部引起结膜炎故出现眼睛疼痛。可颂饥以服用三九感冒灵颗粒和抗病毒药物缓解症状.有发热超过38.5可以服用退热药.另外注意

    2023年10月17日
  • 为你情深无广告全文阅读小说免费全本-黎初宋肃祯完整版在线阅读

    热门好书《为你情深无广告全文阅读》是来自黎初最新创作的言情类型的小说,小说中的主人公是黎初宋肃祯,书中感情线一波三折,却又顺理成章,整体阅读体验非常不错。下面看精彩试读:黎初从医生办公室出来的时候,整张脸苍白得没有一丝血色。原本只是最近胃口不大好想来医院看看,却没想到诊断书下来竟然是胃癌晚期。在他还没有完全接受这个事实时,他看到隔壁门诊室外的休息区,那个说有工作出差的alpha,此刻正扶着他刚离婚的初恋Omega,对他呵护备至…

    美文 2023年5月22日
  • 榄香烯乳状注射剂的作用(榄香烯乳状注射剂的作用)

    榄香烯乳状注射液榄香烯注射液是一种主要治疗各种恶性肿瘤的临床药物。适用于有肺癌、肝癌、食管癌、鼻咽癌、脑瘤、骨转移瘤等恶性肿瘤的患者。它的性状是乳白色的,均匀的乳液液体。它可对肺癌和肝癌等恶性肿瘤增强疗效,降低放疗与化疗的毒副作用,也可以介

    资讯 2023年7月10日
  • 春晓古诗的意思和翻译(古诗春晓的含义)

    春晓 孟浩然 〔唐代〕 春眠不觉晓,处处闻啼鸟。夜来风雨声,花落知多少。 作者简介 孟浩然(689-740),唐代诗人。襄州襄阳(今湖北襄阳)人,世称“孟襄阳”。因他未曾入仕,又称…

    2022年5月21日
  • 如何将磁盘分区合并

    方法如下:操作设备:戴尔笔记本电脑操作系统:win10操作程序:此电脑2.011、在电脑上找到源前此电脑图标,点击右键,选择管理选项。2、在计算机管理界面中,找到左侧的磁盘管理选项,点击打开。3、找到需要合并的分区,右键点击删拿做除

    2024年2月17日
  • 索尼怎么验机,详细步骤及注意事项

    如果你是一位二手数码设备的买家,那么你一定会担心你购买的设备是否经过了维修,或者是被非法修改过。如果你购买的设备是索尼的产品,那么你可以使用索尼的验机方法来检查设备是否经过了非法修改或维修。在本文中,我们将会详细介绍索尼怎么验机,包括验机的

    2023年10月29日
  • 安图恩流程打法教程

    大家好,今天小编来为大家解答安图恩流程打法教程这个问题,现在让我们一起来看看吧!本文目录DNF安图恩怎么打安图恩到底怎么打详细勇者逃脱阿修罗安图恩攻略安图恩第一次打攻略DNF安图恩怎么打1、首先,我们登陆我们的玩家角色,进入到“苍穹贵族号”

    2023年9月24日
  • 镭风6850与迪兰恒进6850有区别吗(迪兰和迪兰恒进的区别)

    大家好,本篇文章为大家解答以上问题,相信很多人对镭风6850与迪兰恒进6850有区别吗都不是特别的了解,因此呢,今天就来为大家分享下关于镭风6850与迪兰恒进6850有区别吗以及迪兰和迪兰恒进的区别的问题知识,还望可以帮助大家,解决大家的一些困惑,下面一起来看看吧!

    2023年8月13日
  • 饼能组什么词

    饼干、豆饼、大饼、糕饼、锅饼键枝、饼子、柿饼、饼铛、薄饼、月备亮袜饼、油饼、烙饼、蒸饼、煎饼、春饼、饼肥、铁饼、饼肆、炊饼、鸭饼、槌饼、饼炉、说饼、光仿激饼、说饼、香饼、胡饼、肉饼、

    2024年2月6日