“分庭抗礼”的芯片制程

“分庭抗礼”的芯片制程

随着半导体制程向着更先进、更精细化方向发展,不同节点范围和玩家的边界越来越明显。其中,最先进制程玩家只剩下台积电、三星和英特尔这3家。而在成熟制程方面,也是在近些年才被业界特别提及的,早些年,特别是在14nm量产之前,先进制程与成熟制程之间的差别并没有今天这么大,特别是在逻辑芯片生产领域,当下,专注于成熟制程的厂商特点愈加突出。

按照IC Insights的统计和预测,各种半导体制程的市占率正向着相对更加均衡的方向发展,如下图所示。

“分庭抗礼”的芯片制程

在2019年,10nm以下先进制程的市占率仅为4.4%,而到2024年,其比例将增长到30%。在该时间段内,10nm -20nm制程的市占率将从38.8%,下降到26.2%;20nm-40nm制程的市占率将从13.4%,下降到6.7%;不过,从该统计和预测来看,40nm以上成熟制程的比例在这些年当中没有出现明显变化。

总体来看,到2024年,10nm以下,10nm -20nm,以及40nm以上制程各占市场约三分之一。

这里特别关注成熟制程和10nm以下的先进制程,前者市场率一直都处于稳定状态,而后者市占率与日俱增,与前者的历史发展形成鲜明对比。

愈加火爆的成熟制程

成熟制程在2020年非常火爆,产能严重短缺,这给各大晶圆代工厂带来了巨大的商机。而从2021年的产业发展形势来看,这种短缺状况在近期内还难以缓解。对此,Counterpoint Research认为,2021年,排名靠前的代工厂的成熟制程仅会分配给特定应用。举例来说,即便8英寸晶圆需求强劲,联电(UMC)宣布,2021年8英寸晶圆产能仅扩充1%-3%。占全球成熟制程产能约10%的中芯国际由于受到美国禁令制约,在产能扩充上也充满不确定性。整体而言,这波产能短缺属于结构性问题,要等到2022年所有供应链都重建好库存后才能缓解。

成熟制程主要用来制造中小容量的存储芯片、模拟芯片、MCU、电源管理(PMIC)、模数混合、传感器、射频芯片等。在应用层面,云计算、5G射频器件需求的快速增长为成熟制程提供了强劲动力。

晶圆代工业正在向更加细分方向发展,不同于台积电和三星追逐先进制程,UMC、格芯、 TowerJazz、世界先进、华虹宏力等更多关注于各自擅长的特色工艺,通过在已有成熟工艺方面的投入,提升产品性价比及竞争力。

从需求侧来看,特色工艺的市场应用前景广阔,具备吸纳更多企业在各自特色领域内做精做强的基础。目前来看,MCU、模拟电路和分立器件这三大类芯片占整体市场的份额接近 50%,且其发展更加稳健,为特色工艺应用提供了基础。更加值得关注的是,与先进工艺相比,特色工艺在晶圆代工业务模式上渗透率相对较低,传统逻辑器件方面,除了英特尔外,主要厂商基本采用“设计-代工-封测”的分工合作模式,而在模拟器件、MCU、分立器件领域,仍然以IDM自家生产为主。这使得成熟制程工艺代工业务的拓展有了更大的空间。

另外,特色工艺的供应商在盈利能力方面的波动性相对较小,一方面,需求端的稳定性使厂商在经营管理方面的可预期性更强,另一方面,由于制程的成熟度相对较高,在设备支出和研发投入规模方面,特色工艺厂商相对较小,使其在成本控制方面具备优势。

成熟制程工艺有哪些呢?具体来看,主要包括以下几种。

驱动IC:随着OLED面板渗透率上升,OLED厂商市占率提高,而传统OLED DDIC以80nm及以上制程为主,其订单量上升提高了更高制程节点的产能。

电源管理芯片:受益于5G推进,手机搭载的数量大幅增长,且快充芯片的使用量也逐步提升。此外,TWS耳机等新品的推出也拉动了电源管理芯片和NOR Flash需求。传统PMIC制程节点为0.18μm /0.11μm,市场需求上涨为该成熟制程和相应的特色工艺需求提供了动力。

传感器:手机摄像头数量不断提升,其中配套的低像素CIS带动0.18μm等制程节点需求提升,普通高像素CIS也只需55nm制程节点,进一步拉动了成熟制程代工需求。指纹识别方面,手机领域的屏下光学、电容侧边、超声波等逐步渗透到智能家居、金融、汽车等领域,该类产品多采用0.11μm/0.18μm制程,相应的成熟制程和特色工艺平台越来越受欢迎。

目前,市场对成熟制程工艺需求迫切,各大晶圆代工厂也都很重视这块业务,行业普遍看好其发展前景。

勇攀高峰的先进制程

从目前情况来看,10nm昙花一现,7nm已经较为成熟,具有很大的量产规模,相对而言,5nm实现量产时间不长,且在爬坡阶段,而3nm和2nm还未实现量产,这三种制程是最前沿的工艺了,由于都还没有达到成熟阶段,都需要产业链上下游各方的共同努力,不断在研发层面突破,才能在最终的芯片生产阶段保证质量和数量。正所谓兵马未动,粮草先行,最先进工艺实现大规模量产前,装备、材料等各项技术的完善和成熟是关键,也是重要保障。

下面以5nm和3nm(前者刚量产,后者将量产)为例,看一下最先进制程对产业的影响。

目前,只有台积电和三星量产了5nm,其中又以台积电为主,正处于产能爬坡阶段,对相应的设备和材料等有更多的要求。

设备方面,不久前,中国中微公司董事长尹志尧表示,该公司开发的12英寸晶圆等离子刻蚀设备,已经进入了客户的5nm制程生产线。等离子体刻蚀机是芯片制造中的一种关键设备,用来在芯片上进行微观雕刻,每个线条和深孔的加工精度都是头发丝直径的几千分之一到上万分之一,精度控制要求非常高。

在EUV光刻机方面,全球仅有ASML一家公司掌握着EUV光刻机的核心技术,这也是5nm制程必需的设备,但EUV光刻机的成本十分高昂,每台售价高达1.2亿美元,几乎是DUV光刻机价格的2倍。

根据ASML公司发布的财报,2019全年共出货了26台EUV光刻机,2020年交付了30多台EUV光刻机,2021年则会达到45-50台的交付量。这其中很大一部分都供给了台积电,用于扩充5nm,以及7nm产能。

5nm并不能单纯依靠核心工艺的创新与EUV设备的加持。从材料角度来说,光刻胶等半导体材料的创新也是制程演进的关键所在。

2019年,日韩之间的半导体材料争端爆发,韩国用于制造半导体和零部件设备的光刻胶、高纯度氟化氢和含氟聚酰亚胺三大半导体材料,均遭到日本的出口限制,对韩国部分重要的产业发展造成了不小的影响。

光刻胶则是这三类半导体材料中的重中之重。

在芯片制造过程中,曝光、显影和刻蚀等重要工艺步骤都与光刻胶有关,耗时占总工艺时长的40%至60%,成本也占整个芯片制造成本的35%。

有机光刻胶主要用于90nm到7nm的芯片制造,但随着制程推进到5nm,将开始需要无机光刻胶。

目前来看,中高端光刻胶产品主要还是掌控在日本厂商手中,台积电与日本合作伙伴保持着紧密的联系。

掩模方面,家登是台积电掩模传送盒的独家供货商,随着台积电在7nm导入EUV,加上5nm量产,EUV掩模传送盒出货可望倍增,且导入EUV后,掩模可曝光次数为原先四分之一,带动掩模传送盒需求进一步提升。

3nm方面,为了如期量产,台积电一直在加大投资力度,2021年全年投资预估达到了300亿美元,预计超过150亿美元会用于3nm制程。其中,很大一部分都要用于购买半导体设备,涉及的厂商主要有ASML、KLA、应用材料等,他们供应的光刻机、蚀刻机等都是制造3nm制程芯片的重要设备。

对于3nm这样尖端地制程工艺来说,光刻机地重要性愈加突出,而能提供EUV设备的,只有ASML一家,因此,该公司对于台积电和三星的重要性也愈加突出,双方都在尽可能地从ASML那里多获得一些最先进地EUV设备。

不久前,ASML CEO Peter Wennink在财报会上指出,5nm制程采用的EUV光罩层数将超过10层,3nm制程采用的EUV光罩层数会超过20层,随着制程微缩EUV光罩层数会明显增加,并取代深紫外光(DUV)多重曝光制程。

此外,台积电在先进制程可以一直领先对手的关键就是封装。封装技术是台积电拿下苹果订单的决胜武器。半导体产业面对物理极限挑战,为了能在同一颗芯片里装进更多晶体管,于是有了先进封测计划。三星就是因为没有这样的技术,所以才与苹果单失之交臂。

近些年,台积电一直在布局先进封测厂。目前,该公司旗下有4座先进封测厂,分别是先进封测一厂、先进封测二厂、先进封测三厂和先进封测五厂,它们位于竹科、中科、南科、龙潭等地,苗栗竹南封测基地将是其第五座先进封测厂。该厂预计投资3000亿元新台币,位于竹南科学园区周边特定区、大埔范围。

目前来看,该公司7nm制程芯片封测工作已经能够自给自足了,5nm的也在不断扩充之中。面向3nm的封测产线也在建设当中。

为了满足5nm及更先进制程的需求,台积电已建立了整合扇出型(InFO)及CoWoS等封测产能支持,完成了3D IC封装技术研发,包括晶圆堆叠晶圆(WoW)及系统整合单芯片(SoIC)等技术,预计竹南厂将以3D IC封装及测试产能为主,计划今年量产。

结语

成熟制程与最先进制程,它们特点分明,前者显得广阔,后者则越来越高耸,而当下的产业环境,为二者都提供了良好的发展空间,可以最大化地发挥各自的优势。

(0)
小多多的头像小多多创始人

相关推荐

发表回复

登录后才能评论